Looping Video causes memory leak in Simulator (using expo-av)

This is really noticible on a video under 10 seconds.

I’m trying to loop a video. Each loop of the video seems to add more and more memory until my system crashes.

Looking at Active Monitor the SimRenderServer jumps to the top of the processes. Then memory goes from 0 to 100GB within 10mins.

Reproducible Demo (Isn’t an issue on the web. Would need to try this on Simulator)

Thanks for any help.